微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 做DC综合时候添加约束文件的一些错误

做DC综合时候添加约束文件的一些错误

时间:10-02 整理:3721RD 点击:
请问当出现如下错误的时候,我应该从哪里入手查错呢?
当添加moore.con约束文件的时候,报错信息如下
source ../script/moore.con
Error: Cannot find the specified driving cell in memory.(UID-993)
Error: Can't find lib_pin 'UofU_Digital/INVX4/A'. (UID-109)
Error: Value for list '<library_cell_pin>' must have 1 elements. (CMD-036)

以下是moore.con的内容
create_clock -period 10.0 clk
set_driving_cell -library UofU_Digital -lib_cell INVX4 [all_inputs]
set_input_delay 0.5 -clock clk [all_inputs]
set_output_delay 0.5 -clock clk [all_outputs]
set_load [load_of [format "%s%s%s%s%s" UofU_Digital "/" INVX4 "/" A]] [all_outputs]
set_fix_hold clk
set_fix_multiple_port_nets -all -buffer_constants

我猜測應該是 INVX4 的input pin 不是A

Error: Can't find lib_pin 'UofU_Digital/INVX4/A'. (UID-109)
就是找不到这个Pin脚

找不到那就去lib里看看pin名字咯,不叫A,是不是叫I之类的

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top