微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > sdc 求助 怎么约束时钟和输出数据之间的关系?

sdc 求助 怎么约束时钟和输出数据之间的关系?

时间:10-02 整理:3721RD 点击:
一个芯片的两个输出的pin,一个是时钟,一个是数据,数据是这个时钟沿的上升沿打拍的,在后防的时候发现,数据在时钟的上升沿之前出来了,如果我想要让数据在时钟的上升沿之后出现,有什么解决方案吗?
1.在数据的path上插buffer?
2.能不能在用set_output_delay添加约束,让这种情况在做时序分析的时候报出错误或警告?因为现在防的时候没发现有警告或错误,是看波形的时候发现的?

?

输出到芯片外部的时钟与数据信号,完全依赖约束很难保证功能的,即使你芯片内部控制住数据在时钟沿之后了,出了芯片上到PCB上,走线延时偏差,仍然无法保证到接收端时,数据还在时钟沿之后。
你可以做成芯片内部上升沿拍出数,芯片外面用下降沿抓,或者芯片内部上下沿输出可选,片外固定上升沿抓。速度要求高的,甚至要考虑输出时钟相对数据相位延时可调。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top