微波EDA网,见证研发工程师的成长!
首页 > 通信和网络 > 通信网络技术文库 > 基于网络编码的多信源组播通信系统,包括源代码,原理图等

基于网络编码的多信源组播通信系统,包括源代码,原理图等

时间:11-08 来源:3721RD 点击:

被编码的第一个数据包的有效载荷的长度

len_1

16

O

被编码的第二个数据包的有效载荷的长度

coef_0

8

O

被编码的第一个数据包的编码系数

coef_1

8

O

被编码的第二个数据包的编码系数

hp_info_vld

1

O

输出信息有效

encod_pkt_trans_fi

1

O

编码数据包传输完毕标志

oc_info_vld

1

I

解码控制输入信息有效

uncod_pkt_need

1

I

未编码的数据包是/否解码因子(1=是,0=否)

图3.4-17所示为状态机处理流程:

图3.4-17:header_parser状态机处理流程

② forwd_sel

该模块功能主要是转发数据包:接收来自header_parser的数据包,若uncod=0,则表明数据包是编码后数据包,将已经编码后的数据包的载荷转换为72bits后,将数据发送到RAM读写控制器,否则发送到decod_operation。当有反馈输入请求时,若输出到decod_operation 的数据线空闲时,将反馈数据发送到decod_operation。

本模块的端口列表如下:

信号名称

位宽bits

I/O

信号描述

fwd_rdy

1

O

输入fwd_sel允许信号

out_vld

1

I

输入fwd_sel有效

pay_load

64

I

输入fwd_sel数据总线(不含包头)

eop

4

I

最后指示一个有效字节的指示

uncod

1

I

指明输出的数据包是否编码

wr_req

1

O

写RAM请求

encod_data

72

O

写RAM的数据总线

ram_data_vld

1

O

数据有效

encod_data_eop

4

O

数据包结束标志,指明最后一个有效字节

wr_ack

1

I

写RAM响应

uncod_data_vld

1

O

输出至dcod_operation的数据有效

uncod_data_factor

64

O

输出至dcod_operation的数据总线

uncod_data_eop

4

O

数据包结束标志,指明最后一个有效字节

dcod_rdy

1

I

输出至dcod_operation允许信号

fd_back_ack

1

O

接受反馈响应

fd_back_vld

1

I

反馈数据有效标志

fd_back_req

1

I

反馈请求

fd_back_data

64

I

反馈数据总线

fd_back_data_eop

4

I

反馈数据结束标志,指明最后一个有效字节

③ RAM读写控制器

在写数据时,先从运算控制模块中得出要储存的RAM号,接着RAM读写控制器将从收到forward sel 模块收到的数据存到片内RAM里面去。在读数据时,根据dcod_operation模块提供的ram号,从相应的ram中读取数据,由于使用的是双端口ram,因此读写可以同时进行。模块信号列表如下:

信号名称

位宽bits

I/O

信号描述

wr_req

1

I

写RAM请求

encod_data

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top