微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > DC综合约束设置的一些经验值设置~~~

DC综合约束设置的一些经验值设置~~~

时间:10-02 整理:3721RD 点击:
做DC逻辑综合时,一些约束不知道如何设置,根据什么设置,最好有一些经验值:
如下面这些约束的设置:
set_clock_uncertainty
set_clock_transition
set_load
set_fanout_load
请高手指点,

set_clock_uncertainty是留余量用的,一般2%~5%之间吧,你要是想把余量留大点可以设大一点,只要时序收敛也没有关系
set_clock_transition 这个东西只是DC工具用来分析时序和功耗用的,只是一个假设值,稍微设置一下就OK,个人觉得甚至可以不设,因为这个要等时钟树布出来后的值为准
set_load嘛,这个你可以参考输入PAD的load大小

非常感谢!

同问这个问题

好东西 谢谢分享

还有高手能再具体指点一下吗

00000000000000000000

正在学习中

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top