微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 请教!DC综合后怎么用modelsim做后仿真?

请教!DC综合后怎么用modelsim做后仿真?

时间:10-02 整理:3721RD 点击:
请教!DC综合后怎么用modelsim做后仿真?
是不是应该将厂家的库文件加进去,这些库文件怎么找呢?

把SDF文件和netlist的文件以及厂家的库文件(如verilog文件。可以做个lib)一起编译。,。就可以了。

很多时候在综合完后不做时序仿真,因为现在在综合中步进行Hold fix,因此用综合出来的sdf仿真会出现X。

eetopeetopeetopeetop我想知道

水宜生怎么样 15058655103

Synopsys工具

把vendor提供的仿真模型加入工程一起编译,可以进行门级仿真
如果再加入p&r后的sdf文件,可以进行时序仿真

后仿要有SIGNOFF的SDF才行的,综合后的design延时信息不是很准,clock tree都没有做,也没有线延时。

dddddddddddddd

goooooooooooooooooooood

学习了

netlist; sdf ;仿真库

学习了,谢谢!

学习一下

9楼对我有帮助

非常感谢!

eetopeetopeetopeetop我想知道

还是要自己操作下

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top