微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 有两个在综合时使用的时钟约束

有两个在综合时使用的时钟约束

时间:10-02 整理:3721RD 点击:

这两个时钟:
create_clock [list [get_ports tck] [get_ports mclk] [get_ports cclk]]-name mux_clk-period 16-waveform {0 8}-add
create_clock [list [get_ports tck] [get_ports mclk] [get_ports cclk] [get_ports user_clk]]-name wake_clk-period 16-waveform {0 8}-add
在encounter的sdc中我用的是:
create_clock -name mux_clk-period 16-waveform {0 8 } [get_pins U41651/Z]
create_clock -name wake_clk-period 16-waveform {0 8 } [get_pins U41654/Z]
不知道这样有问题吗,感觉应该用create_generated_clock。
还有就是clock.ctstch文件中对这两个时钟有什么特别的吗?
求教呀!

没看明白。既然clock 的源类似,在ctstch 定义一次就OK了

我重新编辑了一下我的问题,谢谢你帮忙再看一下

你肯定改過的時鐘和以前的功能上一樣嗎?這個不確定的話,其他的都不用講了

我理解是你的几个port 经过逻辑后的时钟驱动为get_pins U41651/Z。
这么定义是OK的。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top