DC综合时给约束具体值怎么给?
时间:10-02
整理:3721RD
点击:
如设置
create_clock -period
set_clock_transition
set_clock_latency
set_clock_uncertainty
set_input_delay
set_output_delay
等等
在设置具体值时,有没有什么设置依据?
谢谢!
create_clock -period
set_clock_transition
set_clock_latency
set_clock_uncertainty
set_input_delay
set_output_delay
等等
在设置具体值时,有没有什么设置依据?
谢谢!
首先分析你的系统有多少个时钟他们之间的关系是啥频率要求有多高约束时钟高20%就差不多
当然这些都得结合 具体的项目也并不是没一项都必须要可也参考>>dc_shell: mancommond
关键是你得先搞清楚这些的具体含义是啥?
先看看user guide吧
先搞清楚含义,再设置