微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > encounter中用sdc约束接口时序

encounter中用sdc约束接口时序

时间:10-02 整理:3721RD 点击:
create_generated_clock -name dffclkwU [get_ports dffclkwU] \-source[get_pins U_CLOCK_MANAGER?socw_2pclk] \
-master_clock socw_2pclk \
-add \
-divide_by 1
set OUT_SIGNAL_PINS_dffclkwU [list dcntin0U]
set_output_delay -max 1 -clock dffclkwU $OUT_SIGNAL_PINS_dffclkwU
set_output_delay -min -1 -clock dffclkwU $OUT_SIGNAL_PINS_dffclkwU

我在sdc中的约束是这样写的,读到encounter中也不报错,但是报report_timing -to dcntin0U 的时候工具会报unconstrained,好像工具识别不出来,求助大神怎么解决?

[get ports $Out...]

工具只把设置的接口报出来了,然后呢?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top