微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > FPGA和CPLD > 善用Vivado工程配置文件xpr快速工程创建

善用Vivado工程配置文件xpr快速工程创建

时间:10-19 来源:互联网 点击:

善用Vivado工程配置文件xpr快速工程创建

对于第一次新建工程,没啥捷径,建议大家规规矩矩的使用Vivado的GUI创建工程。完成工程创建后,我们找到这个新建工程下的.xpr文件,它是工程配置文件。

如我们新建工程的.xpr打开有,内容如下:

<?xml version="1.0" encoding="UTF-8"?>

<!-- Product Version: Vivado v2016.2 (64-bit)              -->

<!--                                                         -->

<!-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.   -->

<Project Version="7" Minor="14" Path="D:/datasheet/xilinx/XDDR_PRJ/xddr_prj.xpr">

  <DefaultLaunch Dir="$PRUNDIR"/>

  <Configuration>

    <Option Name="Id" Val="0fd604fa43664afbb4172d9765411c21"/>

    <Option Name="Part" Val="xc7a50tftg256-1"/>

    <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>

    <Option Name="CompiledLibDirXSim" Val=""/>

    <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>

    <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>

    <Option Name="CompiledLibDirIES" Val="$PCACHEDIR/compile_simlib/ies"/>

    <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>

    <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>

    <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>

    <Option Name="BoardPart" Val=""/>

    <Option Name="ActiveSimSet" Val="sim_1"/>

    <Option Name="DefaultLib" Val="xil_defaultlib"/>

    <Option Name="EnableCoreContainer" Val="FALSE"/>

    <Option Name="XPMLibraries" Val="XPM_MEMORY"/>

    <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>

    <Option Name="IPUserFilesDir" Val="$PPRDIR/ddr3_prj.ip_user_files"/>

    <Option Name="IPStaticSourceDir" Val="$PPRDIR/ddr3_prj.ip_user_files/ipstatic"/>

    <Option Name="EnableBDX" Val="FALSE"/>

    <Option Name="WTXSimLaunchSim" Val="5"/>

    <Option Name="WTModelSimLaunchSim" Val="0"/>

    <Option Name="WTQuestaLaunchSim" Val="0"/>

    <Option Name="WTIesLaunchSim" Val="0"/>

    <Option Name="WTVcsLaunchSim" Val="0"/>

    <Option Name="WTRivieraLaunchSim" Val="0"/>

    <Option Name="WTActivehdlLaunchSim" Val="0"/>

    <Option Name="WTXSimExportSim" Val="13"/>

    <Option Name="WTModelSimExportSim" Val="13"/>

    <Option Name="WTQuestaEx

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top