微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > FPGA和CPLD > 小梅哥和你一起深入学习FPGA之数码管动态扫描

小梅哥和你一起深入学习FPGA之数码管动态扫描

时间:04-13 来源:互联网 点击:

      • = 24'h543210;

                           #10000;

                           $stop;      

                 end

                 

                 always #10 clk = ~clk;

        endmodule



        每隔一段时间,更换数码管的Data输入数据,观察数码管的输出是否正确。



        一、       仿真分析




        如有任何疑问,欢迎加入芯航线FPGA学习支持群(472607506)进行讨论

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top