微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > FPGA和CPLD > 小梅哥和你一起深入学习FPGA之数码管动态扫描

小梅哥和你一起深入学习FPGA之数码管动态扫描

时间:04-13 来源:互联网 点击:

    • :disp_data=4'd0;
    •                 endcase
    •         end

    [color=rgb(51, 102, 153) !important]复制代码

    因为提取出来的数据还是BCD码的形式,还需要将BCD码对应的数据翻译成为数码管显示对应字符时应该点亮或熄灭的对应的LED的控制信号,因此必须还有一个BCD码译码的过程,该过程代码如下所示:

    • //数据译码,将待显示数据翻译为符合数码管显示的编码
    •         always@(*)
    •         if(!Rst_n)
    •                 seg_r=8'hff;
    •         else
    •         begin
    •                 case(disp_data)
    •                         4'd0:        seg_r=8'hc0;
    •                         4'd1:        seg_r=8'hf9;
    •                         4'd2:        seg_r=8'ha4;
    •                         4'd3:        seg_r=8'hb0;
    •                         4'd4:        seg_r=8'h99;
    •                         4'd5:        seg_r=8'h92;
    •                         4'd6:        seg_r=8'h82;
    •                         4'd7:        seg_r=8'hf8;
    •                         4'd8:        seg_r=8'h80;
    •                         4'd9:        seg_r=8'h90;
    •                         4'd10:        seg_r=8'h88;
    •                         4'd11:        seg_r=8'h83;
    •                         4'd12:        seg_r=8'hc6;
    •                         4'd13:        seg_r=8'ha1;
    •                         4'd14:        seg_r=8'h86;
    •                         4'd15:        seg_r=8'h8e;
    •                         default : seg_r=8'hff;
    •                 endcase
    •         end


    [color=rgb(51, 102, 153) !important]复制代码

    最后,需要将位选和

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top