微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 由组合逻辑输出的时钟该怎么写get_pins

由组合逻辑输出的时钟该怎么写get_pins

时间:10-02 整理:3721RD 点击:
有一个时钟clkr,他是一个MUX的输出端,我create_generated_clock的时候是这样写的:create_generated_clock -name clkr-source$clock_port [get_pins U2366/Z]
这个U2366我是在生成的网表文件中找到的那个mux的实例化名,但是跑DC的时候出error说找不到U2366/Z,我觉得是因为跑到那里的时候还没有生成好网表文件?

求问我这里get_pins后该怎么写?

1.U2366是你综合之后生成的吧?是不是你修改了一下约束又综合了一遍?如果是的话,在你RTL还没有生成门级网表之前是不存在U2366这个inst的。
2.就算你是incremental跑的DC,你确定U2366是处在最顶层?只有当U2366在最顶层才可以这么写,否则你得一级一级的找下去,比如:get_pinsTop/A/U2366/z
建议你先get_cells -Hier U2366

简单举个例子:
set clka[filter_collection [all_connected -leaf [get_nets -of_objects [get_pins ${TOP}u_crm/u_crm_clock/u_clka/clk_out]]] pin_direction==out]

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top