微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 问一个芯片IO口得问题

问一个芯片IO口得问题

时间:10-02 整理:3721RD 点击:
今天突然发现自己对芯片的IO不是很明白,芯片的IO到时是模拟电路还是数字电路啊。如果是数字电路那中芯国际的标准单元库里有smic18_io.v文件和smic18_io.db,他们是做什么用的啊?如果是模拟电路的它又是怎么和芯片连接在一起的呢?

自己顶一下,再补充问一个问题,做综合的时候顶层是需要包含管脚还是不包含管脚啊?我刚开始做了个top低一级的模块的综合,没有什么问题,但是做顶层综合的时候(包含了管脚)就发现很多管脚的延时很大,综合出来的报告完全不满足要求,比如我的周期是20,有个管脚的延时是19 这怎么满足要求啊?求解释。

综合时可以将PAD设置为dont touch,不对pad分析。

smic18_io.v就是一个用verilog语言描述各种io pad 模型的文件
smic18_io.db 就是IO的工艺库文件,用二进制表示的
在综合前加和综合后加都行,
在综合前加,可以将PAD设置为dont touch,不对pad分析。
在综合后加,可以在综合出网表之后,再在网表里对管脚pad进行例化

问题是所有的端口都是管脚,如果设置dont_touch,那些约束该怎么设置呢?如果是在综合的时候不加pad,但是需要在顶层设置时钟,这个时钟应该怎么设置呢?
create_clock -name EXT_CLK -period ${clock_cycle} [get_ports pin18]
set_clock_uncertainty -setup [expr $clock_cycle*0.03] [get_clocks EXT_CLK]
set_clock_uncertainty -hold 0 [get_clocks EXT_CLK]
set_clock_latency -source 5 [get_clocks EXT_CLK]
set_clock_latency 2 [get_clocks EXT_CLK]
set_clock_transition0.3 [get_clocks EXT_CLK]

PAD不是也有timing lib么。综合不加吗?

努力学习中~谢谢!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top