微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 芯片内部有一个clk_switch 模块 sdc里需要怎么定义?

芯片内部有一个clk_switch 模块 sdc里需要怎么定义?

时间:10-02 整理:3721RD 点击:
芯片内部有一个clk_switch 模块 sdc里需要怎么定义?
clk_switch clk_switch(
.clk0 (clk0)
.clk1 (clk1)
.clk2 (clk2)
.sel
.clk_out
)
clk0 clk1 和clk2 已经定义了create_clock,需要在clk_out的输出pin上再定义时钟吗?还是有其它约束需要添加?

在clk_out上是不是不需要定义了?

不管你有没有glitch free,输出端都应该是两个enable clk的组合逻辑输出,所以我认为应该是不需要的
不过最好是定义两个clk的互斥

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top