微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > DC关于set_false_path语句如何正确使用?

DC关于set_false_path语句如何正确使用?

时间:10-02 整理:3721RD 点击:
本人在做综合时出现holdtime violation现象,经分析发现是在顶层模块一个选择电路中有两个不同时钟域的信号参与其中,导致跨时钟域的问题(实际上不影响结果),先要对此路用set_false_path进行付略,求教如何使用?

set_false_path -from clka -to clkb
set_false_path -from clkb -to clka

set_clock_group -asynchronous -group clka -group clkb

这样做,会不会有possiblewarning?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top