微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > 微电子学习交流 > 问一句verilog

问一句verilog

时间:12-12 整理:3721RD 点击:
assign (highz1, pull0) PAD = (EN)? 1'b0 : 1'bz
请问这句中的(highz1, pull0)作何理解?

驱动强度(drive strenth),定义了signal分别在值等于1、0时的驱动强度。

设定驱动强度,在多个source驱动同一点时会根据强度决定输出,PAD建模的时候很常用。当年写过不少这玩意,乍看之下仿佛看到自己的code了,哈哈

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top