微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > ncverilog后仿时怎样反标sdf?

ncverilog后仿时怎样反标sdf?

时间:10-02 整理:3721RD 点击:
已经生成网表,但是lib.v里边的延迟不对,都是1ns,因此需要把综合生成的sdf加进来。不知道ncverilog用什么命令?这是本人写的sim.bat
ncvlog -LOGFILE log.txt -errormax 1000 -update ./tsmc18.v
ncvlog -LOGFILE log.txt -append_log -errormax 1000 -update mult_compiled.v
ncvlog -LOGFILE log.txt -append_log -errormax 1000 -update tb_mult.v
ncsdfc Multiply.sdf//求问各位大牛,这个命令是反标sdf文件吗?为什么我用了以后没有什么作用啊?
ncelab-timescale 1ns/10ps -access +wcr tb_mult_compare -update
ncsim-GUI tb_mult_compare

ncsdfc Multiply.sdf求问各位大牛,这个命令是反标sdf文件吗?为什么我用了以后没有什么作用啊?

在testbench里面加,用$sdf_annotate()

我查到的$sdf_annotate("sdf_filename","module_instance","scale_factor");求问:这个module_instance是哪个文件里的module.
比如rtl文件f1.v
module aaa(a,b,c);input
output
XXXXXX
endmodule
testbench文件名tb.v里边有
aaa u1(x,y,z);
那么在testbench里写的sdf_annotate第二个参数应该是什么?
还有这个scale_factor怎么设定?
求大牛解答

自顶,继续求助。

我是用 ncsdfc将sdf文件转化为sdf.X文件,然后写一个sdf command file,指定一下sdf.X文件的位置,反标于哪个模块。elab的时候将这个sdf command file加进去

您能具体说一说,sdf command file 怎么写吗。 elab的时候将这个sdf command file加进去 ,这个elab是什么?您说的是ncelab-timescale 1ns/10ps -access +wcr tb_mult_compare -update这句命令吗?

你这里的module指的是U1,scale_factor可以空着不设

sdf command file 的写法compiled_sdf_file*.sdf.XSCOPE:module_name

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top