微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > DC check_timing 报告 end_points are not constrained for maximum delay

DC check_timing 报告 end_points are not constrained for maximum delay

时间:10-02 整理:3721RD 点击:
见图。所有的输入输出端口都进行了约束,为什么这么多内部的pin报这个?是什么原因造成的?怎么约束啊





啊dsafadf

sadfa

afsdfadsf

adsfa

adfadfadf

output_delay 的min/max 都要约束
另外不要用小号刷屏呀,刷屏也得打点有意义的字符吧

clk写在leaf pin上,不要写在hier pin上,

看你的报告,好像还没有mapping啊

时钟定义不正确



都有约束,max和Min这不是我的小号,上面那些不是我回复的。

恩,是的,在compile之前check_timing 了

请问小编最后是怎么解决的呢?
我也遇到了相同的问题。
还有楼上有人提到是时钟定义不正确是指什么呀?能具体一点吗
我这边的时钟是定义在了leaf pin和port上的呀

目前知道synch_enable的那种endpoint没约束 可能是因为些了if-else语句,在某一个条件语句分支里面,寄存器忘记赋值了
可是nextstate那种endpoint 没约束就不知道了

我也遇到类似问题,求解。

之前也有遇到这个问题,check一下sdc的设定,clock是不是设置在hier pin上,且tool吃了吐出1;

clock 定义不对,第一遍综合之后再看check_timing 报告比较准。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top