微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > encounter check_timing 警告

encounter check_timing 警告

时间:10-02 整理:3721RD 点击:
各位大侠:
在用check_timing -verbose 命令的时候,发现报出如下错误。请帮助看看是什么原因导致的。
都是PAD 端口上的 ,一共有五类:
1NO drive assertion
2 NOinput delay or arrival time assertion
3 Unconstrained signal arriving at end point
4 Missing specific input delay or arrival time assertion for signal clk2 lead
5 Master clock edge does not reach the target for generated clock clk1
第5个是导致后面PT的一个从端口上的时钟找不到。
个人怀疑lef 没有提取好导致的,但是没有足够胡理由说明。请大侠们帮助下!

这个是在SDC里面没有定义的 就会报出这样的东西 、
比如 双向端口只用作输出,那么输入没有input delay定义 ,就会报这东东
报出来的东东应该是对的

check_timing 是检查sdc 文件正确性,完备性的,
和lef 没关系,
出来结果可看可不看, 要反馈给前端看下, pt 一般check_timing也会报出来的

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top