微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于DC综合脚本的参数

关于DC综合脚本的参数

时间:10-02 整理:3721RD 点击:
小弟初学DC综合,学到脚本是遇到一些问题。在TCL脚本中,那些时延参数是如何设定的啊?
create_clock -period 6 -waveform [list 0 3] -name clk [get_ports clk]
set_clock_latency -source 1 [get_clocks clk]
set_clock_latency 1 [get_clocks clk]
set_clock_uncertainty 0.5 [get_clocks clk]
set_clock_transition 0.3 [get_clocks clk]
set_dont_touch_network [get_clocks clk]
################
#set input delays & output delays
set i_min_delay 1.0
set i_max_delay 3.0
set o_delay 3.0
set tran_delay 0.3
set ain_ports [remove_from_collection [all_inputs] [get_ports clk]]
set_input_delay -min $i_min_delay-clock [get_clocks clk] $ain_ports
set_input_delay -max $i_max_delay -clock [get_clocks clk] $ain_ports
set_output_delay -max $o_delay -clock [get_clocks clk] [all_outputs]
这里面这些参数大小是根据什么来设定的啊?外围电路or工艺库?有没有比较常用的值啊?

这个脚本怎么看着那么熟啊!
多看看书就差不多吧!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top