微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > DC 关于set_false_path的问题求助

DC 关于set_false_path的问题求助

时间:10-02 整理:3721RD 点击:
系统有两个外部输入时钟,希望设置set_false_path,对所有clk1和clk2之间的路径不做优化,命令如下set_false_path-from [get_clock clk1] -to [get_clock clk2]
set_false_path-from [get_clock clk2] -to [get_clock clk1]
DC报错如下:
Warning: Can't find object '[get_clock indesign 'test_block'
Error : Design object list required for the '-from' argument
Error : Unexpected argument 'clk1]'
Warning: Can't find object '[get_clock indesign 'test_block'
Error : Design object list required for the '-to' argument
Error : Unexpected argument 'clk2]'
求助问题如下:
1.这句语法有什么错误?该怎么改?
2.如果系统里即有clk1 到clk2的路径,又有clk2到clk1的路径,是否要分别对这两类路径做set_false_path设置?

使用命令get_clock之前要先create_clock,不知是不是因为这个。

这句话本身是没有问题的, 先report_clock 看一下你的clock定义是否正确

clk1和clk2都是外部端口,定义如下:
creat_clock -name "clk1"-period 20 {"clk1"}
creat_clock -name "clk2"-period 20 {"clk2"}
这样定义会报错

man 一下可以看看命令的语法结构的,应该两个路径都要set_false_path的,好像是get_clocks吧~

create_clock -name clk1-period 20 [get_ports clk1]

我不知道你create时钟的时候,是否和你这里帖子写的一样,create_clock。不是creat_clock。
另外你报告的ERROR很明显是你脚本的语法问题,应该是脚本写错了
还有就是脚本最好不要用工具的语法去写,用tcl语法
(看看你和6楼的区别)

看一下 from &to 的用法
from : input or clk
to:output or pin except clk

create_clock -name clk1 -period 20 [get_ports clk1]
create_clock -name clk2 -period 20 [get_ports clk2]
set_false_path -from [get_clocks clk1] -to [get_clocks clk2]
set_false_path -from [get_clocks clk2] -to [get_clocks clk1]

用9搂的

9楼正解。
你也可以加上占空比的信息,如下:
create_clock -name clk1 -period 20 -waveform {0 10} [get_ports clk1]

看不懂啊啊啊啊

Good ,好樣!

get_clocks

多谢九楼

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top