微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于set_false_path的问题

关于set_false_path的问题

时间:10-02 整理:3721RD 点击:
逻辑综合时,异步时钟电路,已经set_false_path了,为什么check_timing的时候还报出这条路径unconstraint?

unconstrained 就是false path的意思啊

不是这样的吧?

如:我设置set_false_path -from [get_clocks clk_a] -to [get_clocks clk_b]
set_false_path -from [get_clocks clk_b] -to [get_clocks clk_a]
check_timing 还是报出相关路径是是unconstraint,没有问题?还是说相关路径已经false掉了不该报出来了

可具体得看时什么地方unconstraint? 是不是在这两个clock domain里面?是不是clock source trace不到哪个pin上面?

报出的路径确定是异步的,起点的触发器由clk_a驱动,终点的触发器由clk_b驱动,这两个时钟是不同的时钟域

既然是false path,unconstrained 和 不报出来 有啥区别呢

既然已经设了false ,那么check_timing 就不会报出来的,false就是constrant啊。
可能是clka 或clkb 不能trace到那个reg CK,你仔细看看那个REG的CK pin接到什么地方了。

你好,我也遇到了这样的问题,请问你是怎么处理的?

应该是这个flop的ck端没有被约束到, 你可以往前trace看看, 没法找到那个clk root ,
2个clk之间设了false path,是相当于有约束,不是unconstrained path

异步和同步有何区别啊

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top