微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于route之后仍有max_transition violation的问题如何解决?

关于route之后仍有max_transition violation的问题如何解决?

时间:10-02 整理:3721RD 点击:
其他的constrain(setup hold max_cap)都过了,就剩下max_transition,最大的violation是0.8,最小0.01,我设的约束值时10.0ns,设计工作时钟100MHz,主要的violation发生在macro ram的输入端。

只要不超过.lib的范围就行了,2ns以内都行

ICC里这个命令修transition挺有效的
route_opt -effort high -incremental -only_design_rule

ram 端口加中等驱动buf 试试

统一回复下:我设置的max_transition = 1 ns,lib要求的的是1.5,现在只有少量不超过2ns的violation(slack = -0.3),应该可以接受吧?

可以

大了就修,看要求呗,自动修或者手动。

再插入buf会不会引起其他的如setup hold 违例?

有可能,但是工具会权衡的,如果引起其他为例,这个节点的transition应该无法被修正了。

一眼看到问题,我想到的解决方法也是插入buf来解决这个transition,但是首先还是要像B哥小编一样,首先考虑看看是不是set max太苛刻了,如果可以接受这个slack,还是尽量不要动c tree和布局。

加buf

修改fanout会不会好点呢?还有就是是不是wire过长。

一般而言会改善 setup , 如果是减少了launch path 延时时间

有的时候,工具不加buf,并不是因为时序没改善,而是因为周围没有空间让它加了。所以人工干预一下,把位置腾出来,就可以解决了。尤其是走线阶段,工具一般不爱去动cell了。
不同工艺下,厂家一般会对max Tran有推荐值,而且推荐值一般比lib中的小。个人观点是,超出推荐值的要清掉。

您好,请教下,比 lib 中的小 ,这里我有俩小问题哈
(1) lib中有一个default_max_transition ,然后每个cell的input/output pin也有一个max_transition,你说的这个lib指的是哪个值呢
(2) 我看了下不同的lib中 default_max_transition的值不一样,比如worst case的lib和best case的lib中的这个值就不一样,是不是两者中要取较
小的值呢?

小编,接着请教下,比 lib 中的小 ,这里我有俩小问题哈
(1) lib中有一个default_max_transition ,然后每个cell的input/output pin也有一个max_transition,你说的这个lib指的是哪个值呢
(2) 我看了下不同的lib中 default_max_transition的值不一样,比如worst case的lib和best case的lib中的这个值就不一样,是不是两者中要取较小的值呢?

可以再pt里面看看啊

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top