微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > DC综合时的目标库添加错误怎么办呢

DC综合时的目标库添加错误怎么办呢

时间:10-02 整理:3721RD 点击:
DC综合时的目标库添加错误怎么办呢,求解

先指定好path啊

嗯,已解决,谢谢了。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top