微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 不能读目标库该怎么办呢?

不能读目标库该怎么办呢?

时间:10-02 整理:3721RD 点击:
我用的Windows版本的dc,试着综合一段代码,出现了如下了的错误
Error: Could not read the following target libraries: (UIO-3)
slow.lib
不论是0.13还是0.18的库都是这个现象, 是哪里写错了呢?请帮忙解答一下,谢谢

0.18的库里面没有db文件,只有lib文件,该怎么转换呢?

自己解决了,谢谢

啊有windows版的dc?
这个要顶

EDA工具区就有啊,只是比较老,是2000年的,0.18的工艺库可以用

不是很清楚~

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top