微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > create_clock&create_generated_clock如何选择?

create_clock&create_generated_clock如何选择?

时间:10-02 整理:3721RD 点击:
请问在用create_clock定义了一个clock以后,在什么情况下才能使用create_generated_clock来定义时钟呢?在STA for nanometer design中看到如图所示的例子,书中给的约束为create_clock -name CLKM \
-period 20 -waveform {0 10} [get_ports CLKM]
create_clock -name CLKP \
-period 5 -waveform {0 2.5} [get_ports CLKP]
这里的分频后的时钟不是应该用create_generated_clock吗?

一般CLKM应该是generated clock,如果CLKP和CLKM之间是false path,可以像上面那样定义

那在如图的类似分频情况下是不是都要设置multicycle_path啊?

还是要看功能的,功能没有问题,可以设置为false_path,

什么破书...

恩,谢谢答复;

那本书不错啊;

看清楚,get_ports CLKM , div 4 freq是片外的,别乱骂书

一般同源clock是一个domain的, 不用设false path , 把clock tree latency balance好就行

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top