微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > pt时序分析违例肿么办啊

pt时序分析违例肿么办啊

时间:10-02 整理:3721RD 点击:
小弟刚走数字后端流程,从dc综合,到encounter布局布线,最后用vcs后仿了下,结果正确的,但是encounter里时序报告是这样的
貌似setup mode不是太好,但是也没有违例路径,而且很多优化hold改变很多,但是setup基本不变,都有个21多。
然后就做pt时序分析,report_timing -transition_time-path full-delay_type min:
report_constraint -all_violators -verbose:,这里选了个违例最大的,还有很多,还请大神指导小弟怎么改啊,由于只是走流程,所以还有很多东西都不是太懂,还望大神门说得详细些。拜托啦。





我认为是 input pin (ss) 针对slck的 set_input_delay -max没有设置的问题

多谢多谢,加了句set_input_delay -clock sclk 25 ss,然后就没有违例了

不知道还有其他设置方法么

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top