微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 怎样在DC中指定特定的门来进行综合?

怎样在DC中指定特定的门来进行综合?

时间:10-02 整理:3721RD 点击:
我想使用design compiler来综合电路的网表。在网表的综合过程中只使用反相器、2-4输入的与非门和或非门,怎样来限定才能只是用这几种门呢?

其他的都设为dont_use
感觉你的需求很奇怪啊

谢谢。我是做一个实验需要限定,不然后面的分析太复杂。我用set_dont_use去限制其他门,那在DC综合时候有哪些门呢?这个手册好像没说啊。

only left the lib cell you dont use "set_dont_use"

哥们能给个完整的语句的例子吗,谢谢

set all_lib_cells [get_lib_cells *]
set use_lib_cells [get_lib_cells "INVD* NAND2D* NAND4D* NOR2D* NOR4D*"]
set_dont_use [remove_from_collection ${all_lib_cells} ${use_lib_cells}]

多谢啊,我来试试

set all_lib_cells [get_lib_cells */*]
set use_lib_cells [get_lib_cells "*/INVD* */NAND2D* */NAND3D* */NAND4D* */NOR2D* */NOR3D**/NOR4D*"]
set_dont_use [remove_from_collection ${all_lib_cells} ${use_lib_cells}]

我在原来的脚本里填上了
set all_lib_cells [get_lib_cells */*]
set use_lib_cells [get_lib_cells "*/INVD* */NAND2D* */NAND3D* */NAND4D* */NOR2D* */NOR3D**/NOR4D*"]
set_dont_use [remove_from_collection ${all_lib_cells} ${use_lib_cells}]
,但是运行时候告诉出错:
Error: Can't find lib_cell '*/*'. (UID-109)
Error: Can't find lib_cell '*/INVD**/NAND2D**/NAND4D**/NOR2D**/NOR4D'. (UID-109)
Error: Required argument 'collection1' was not found (CMD-007)
Error: Required argument 'object_spec' was not found (CMD-007)
可是我在dc_shell-t下用man get_lib_cells 说明中确实说了可以用通配符*的,怎么回事呢,真烦死了

赚金币

今天用到dc, 查了一下论坛,你这个方法是可以用的,我的跑通了。是不是setup里面没有写全

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top