微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 各位大神帮忙看下DC综合的问题,谢谢!

各位大神帮忙看下DC综合的问题,谢谢!

时间:10-02 整理:3721RD 点击:

脚本中的语句:
set_false_path -from [get_clocksRSTB] -to [get_portsPCLK]
报错:
Error: Value for list '-from' must have 1 elements. (CMD-036)
什么问题?谢谢!
还有就是想问下,这样设置的set_false_path 对不?

RSTB 是clock吗?

RSTB是复位信号,可以在时钟和复位信号之间设置set_false_path嘛?谢啦!

设置虚假路径的目的就是为了时序的综合不去判断这些异步路径,你要清楚怎么样才能正确表达异步路径,比如你可以设置 以CLKA为起点的寄存器到以CLKB为终点的寄存器时钟构成的路径失效。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top