微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于dc中drc规则的设置

关于dc中drc规则的设置

时间:10-02 整理:3721RD 点击:
做dc时,如果库里已经提供了drc规则
那么set_max_capcitance
set_max_fanout
set_max_tansition
这三个命令还有必要使用么?
如果适当设置更严格的规则对后面的设计有益处么?

也想求解这方面的问题。

运行drc不是都是图形界面的么?

顺便问一下:
set_max_fanout
set_max_tansition
这俩命令是干什么用的~

应该根据那些参数设最大值吧,比如最大扇出

如果在工业库中设置的值不恰当或者太乐观,这时要在命令行使用这些命令来控制设计的缓冲

建议设置这些选项,可以进一步对clock path 和reset path进行限制,避免不必要的额外逻辑产生

可以根据项目需要重新设置这些参数。
set_max_fanout : 最大fanout,也就是一个输出最多接多少个负载(不是电容);
set_max_transiton : 影响可以工作的最高频率,也就是上升(10%~90%)和下降(90%~10%)的时间,也叫做
slew rate。一般信号按照上升/下降各占10%的比例来计算。
如果你的设计中进行了相关约束设置,比如设置了clock,则和clock相关的电路均按照对应的约束去实现。
对于没有被约束到的信号,则会按照DRC的要求去实现。

楼上的是正解

也就是说,DC会在clock path里面添加buffer?

原来如此,受教了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top