微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > DC问题请教

DC问题请教

时间:10-02 整理:3721RD 点击:
我开始接触DC综合,在做的过程中遇到一个warning,不清楚这个是什么原因造成的。向大家请教一下,warning如下:
warning:TLATNTSCAX3 contains internal pins with clock attribute
我的设计中插入了一个门控时钟,TLATNTSCAX3是一个门控单元。输出接到了一系列的D触发器上了。

好像没遇到过。按字面理解,是个提示信息吧,你确认它是门控单元,那应该就没什么问题的。warning是多少呀。

我没做过DC,在有clock gating的地方,DC会分析什么是gating signal,什么是clocking signal输出节点是不是clock属性的信号(是否是DFF clock输入,或者primary output),如果是的话DC要检查gating signal对clock signal的setup/hold time是否有violation。 你输出一下timing report看看DC是否检查了clock gating处的setup/hold。

学习了

好久的帖子了,还是回一个吧。
TLAT*的单元是锁存器,综合时需要set_dont_use

时钟门控只好例化库中的单元,不要自己做

路过学习一下。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top