微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于RAM综合的问题

关于RAM综合的问题

时间:10-02 整理:3721RD 点击:

我们的RAM是直接利用库中的memory compile生成的,在做仿真的时候是直接利用寄存器堆来代替RAM,可是综合改怎么办?是memory compile生成的。v文件,还是直接硬CORE插入,本来想悬空,但是综合时提示link错误。有谁了解这个流程的,帮忙解答下!


直接硬CORE插入吧,用作黑盒子就行了,个人见解
为什么仿真的时候不用.v文件啊?

代码中例化ram,连接好。综合时直接读入ram的db库就完了,
就这么简单

用memory compile 生成你需要的ram的综合库.lib的格式的,再编译成.db的,在代码中直接调用就可以了,生成的.v只能用来做功能仿真的。你可以在论坛上搜一下,好像有一个65nm的ram库的生成文件

谢谢,问题解决了,用Memory compile生成的.V文件代替自己写的仿真模型,就是换下引用的例化,在DC的setup中加入相应的库,就OK了,面积也小了,从12到9.8了。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top