微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > FPGA和CPLD > FPGA学习资料汇总,免费下载

FPGA学习资料汇总,免费下载

时间:06-29 来源:互联网 点击:

大家好,这里潘老师将精心录制和编辑的fpga学习系列教程——《至简设计法视频教程》分享给大家。教程充分考虑0基础朋友的实际情况,手把手带领学习者分析思路、编写代码、验证、板级调试。一步一步,直到最后设计若干较为综合的逻辑系统。


    视频教程以我们自主开发的明德扬fpga至简荣耀板为实验平台,通过若干基础和综合的系统设计讲解,一步一步掌握fpga设计与验证的思想和方法。

以下为知识总结点:

1.Verilog快速掌握新版

Verilog HDL作为一种硬件描述语言是我们在学习FPGA时所必须掌握的工具之一。虽然Verilog HDL的最大优点是易学易用,但是由于其语法较为自由,因此初学者在使用的过程中容易犯一些错误。

明德扬至简设计法由拥有多年FPGA代码编写经验的潘文明老师首创,不仅能让初学者在短时间内掌握Verilog语言,而且编写出的代码简洁无冗余、准确度高。我们将通过一系列案例,让您得到关于Verilog的高效点拨。


01 D触发器及代码

本视频讲述了编写FPGA程序最重要的基础——D触发器。很多同学觉得要把数字电路基础学完,才能学习FPGA。实际上,很多知识是不需要用到的,我们只要清楚D触发器就足够了。大家通过学习本视频之后便可以开始着手写代码,无需再浪费大量的时间去学习理论知识,实践才能出真知!


02 FPGA设计思维

什么是FPGA设计思维呢?本视频可以告诉你,教您区别、理解硬件思维和软件思维的不同,让你全方位掌握FPGA的设计思路。


03 我们的第一个设计

当你看到一个项目要求之后,如何设计呢?也许你自己感觉已经懂了,于是在模模糊糊的情况下就开始写代码,没有清晰步骤,最后需要反复打补丁才完成?

本视频通过一个简单的例子告诉你如何正确地设计,手把手教你设计的思路、过程。


04 我们的第一个设计思路

明德扬根据多年项目和培训经验,总结和制定了一套科学严谨的计数器规则。只要按照我们的“计数器架构八步法”,一步步考虑你的设计,就完全不存在无思路、无从下手的问题。本视频主要通过一个案例来阐述明德扬“计数器架构八步法”如何实现代码的全过程,即便您是Verilog初学者,也能快速掌握。


05 第一个设计语法总结

如今市面上有不少关于Verilog语法的书籍,但实际上我们常用的语法并不多,如果花费大量的时间和精力去钻研全部的语法,那么这显然是不明智的做法。本课程所介绍的语法,可以覆盖绝大部分的应用,而且完全不影响设计效率。我们视频通过总结一些基本的语法应用,让您掌握至简设计法的精髓,帮助您在以后的学习中打下坚实的基础。


06 我们的第二个设计——串口

本视频介绍的是串口的发送模块的练习要求,让您在实践中掌握至简设计法的精髓。


07 我们的第二个设计——串口思路

本视频通过基于至简设计法中的“计数器架构八步法”来讲解串口发送程序的编写思路。学员通过明德扬的系统培训,只要按照至简设计法的步骤来做,肯定可以一次性地设计出简洁清晰而且正确率高的代码。

2.定位问题

作为初学者,仿真和调试时经常遇到如下问题:时钟一直为低、信号为X态或高阻态、波形与想象中的不一致、功能实现不出来等。

这种情况下,大部分学员都是从网上求助的。由于其他人不知道你写的具体代码,所以根本无法得到有效的帮助和解决。

定位和解决问题,是工程师的基本能力,因此遇到问题不必急于求助,而应静下心来,自己解决。

明德扬的系列视频,教授您一个“任何问题都能找到”的定位问题方法和思路,并通过多个案例,让您一步步掌握定位问题和解决问题的最佳方法。


01 FPGA 如何查找定位问题1

本视频是明德扬查找定位问题的总纲视频。明德扬将错误类型归结为三类:软件工具错误、语法编译错误和功能错误。

本视频介绍了三种错误类型的解决方法和一般原则。其中语法错误中,我们创新性地提出了“代入法”,可以定位大部分的语法错误问题。


02 定位问题案例1

一气呵成把代码写出来了,开开心心来仿真,本来想着马上就要看到结果了。但想得太天真了,最有可能出现的就是波形跟你想的不一样。即使潘老师这么有经验,也经常出现这样的情形。

这个时候怎么办?当然是硬着头皮去找问题啊,指望别人来告诉你是不现实的,没有多少人愿意花自己的时间给你解决定位问题。

但定位问题,你的思路对了吗?很多人第一反应就是去检查代码,从头浏览一遍,这是正确的定位思维吗?

本视频将告诉你正确的答案。


03 定位问题案例2

有同学会怀疑,用明德扬的定位方法,真能什么问题都找到吗?万一我不是设计代码问题,而是测试文件问题,也能找到?

明德扬很有自信,没错!所

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top