微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 关于SV中类的一个问题

关于SV中类的一个问题

时间:10-02 整理:3721RD 点击:
在这里想问大家一个问题。
就是这里有一个类class Packet;
然后还有两个不同的类class driver;class generator;
但是分别在driver和generator分别例化了Packet的对象。但声明的时候都是Packetpkt;
也就是说在声明的时候,句柄起的名字是一样的。我就想在这里问一下,这时这个pkt是一个对象,还是两个对象,各是各的

不知道说明白了没,望知道的朋友能给我解答一下。万分感谢了

因為各別在 driver and gnerateor 例化了Packet, 所以是两个对象,各是各的

如何是在两个类中都实例化了,那就是两个对象了。调用的时候分别是driver.pkt, generator.pkt.

类和verilog中的module还是有些区别的,你这因该只是声明了packet句柄,如果要你例化packet的话,需要在new里面显示的调用packet的new函数,如果只是声明,那么他的default值是null,可以用这个handle指向你需要的packet,也就说driver和gen的packet handle 可以指向统一个packet实例

哦,明白了,说的非常清楚。谢谢了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top