微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 关于systemverilog学习困惑

关于systemverilog学习困惑

时间:10-02 整理:3721RD 点击:
请各位大神指点一下迷茫期的我。
自己学习systemverilog有段时间了,一直看systemverilog语法但是没有实践练习,感觉没什么效果,之前看过得东西又还回去了。
求各位大神指点迷津,怎样去实践练习,把看的东西运用下,变成自己的东西?(例如:怎样去用sv搭建一些测试平台什么的?)

先将张强的那个UVM1.1开发指南第一章的例子跑通再说....

理论和实际结合 肯定得跑例子才行 或者做lab

我也在学,做等各位大神显神通啊

多谢各位指点!

万事开头比较难,论坛上有sv的一些实例,学这门语言最重要还是从实例子入手

我也刚开始看,才几天 看了点语法 准备跑个例程

fgreat one forum.

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top