微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > NC对SV仿真的环境变量的设置?

NC对SV仿真的环境变量的设置?

时间:10-02 整理:3721RD 点击:
我现在想用NC来仿真SV的断言,请问论坛里的高手怎么设置仿真的脚本啊?相对于仿真普通的Verilog 脚本要添加什么?

用VCS是可以仿的,想在NC上试下,但是ncvlog时报ERROR,好像NC不识别SV的断言关键字

仔细看了下NC的useguide,发现NC对PSL支持很多,有关于PSL断言的参数设定。对于SV的提的很少?
莫非是NC的版本太低了?

刚才用NC跑了下PSL

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top