微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 求助:sva的问题?

求助:sva的问题?

时间:10-02 整理:3721RD 点击:
谁能简化下面的sva?
always @(posedge CLK)
if (a == 1)
begin
if (cnt <3)
begin
cnt ++;
end
else
begin
check_en <= 1;
end
end
else
begin
cnt <= 0;
check_en = 0;
end
assert property @(posedge clk) (check_en |-> b == 0);
总想第一个always可以用sva简化,但不知怎么做,高手请指教。

不知道,帮顶

assert property @(posedge clk)a##3check_en;

assert property @(posedge clk)(!a) |=> (check_en == 0 && cnt == 0);
assert property @(posedge clk)(a && cnt == 3) |=> check_en;

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top