微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 请教SVA sequence的用法,高手回答一下,急!

请教SVA sequence的用法,高手回答一下,急!

时间:10-02 整理:3721RD 点击:
请教高手:与时序相关的序列可以相互调用吗?下面s2序列中使用了s1,这种写法对吗?
sequence s1;
@(posedge clk) $fell(a) |-> (!a) [*2];
endsequence
sequence s2;
@(posedge clk) (s1 |=> a [*2]) [*4];
endsequence
sequence s3;
@(posedge clk) s2 |=> s2;
endsequence
sequence s4;
@(posedge clk) s2 |=> a [*8];
endsequence
property p1;
@(posedge clk)
s3 or s4;
endproperty
上面序列的写法有语法错误吗?去掉3个序列中的@(posedge clk),只在property中定义时钟可以吗?
找了一些资料,没看到有这样使用序列的,小弟刚学,请高手指点一下,急!

自己先顶一下
期待高手们的回答……
先谢了!

序列中是不是不能使用蕴含操作符啊?

蕴含操作符只能在property中使用,不能用在序列当中。
建议不要在序列中定义时钟。

序列中是不是不能使用蕴含操作符啊?

序列中是不是不能使用蕴含操作符啊?

序列里是不能用蕴含的,在sequence或者property里最好只在一个里边加clock

thinks
top

多谢,学习了。

学习了!

不可以~

上一篇:key_automatch
下一篇:如何使用继承

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top