微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > SV有没有获取系统时间的函数?

SV有没有获取系统时间的函数?

时间:10-02 整理:3721RD 点击:
DPI的方式是可以的

就用verilog里面的$time不行吗?

2# gaurson
$time返回的是仿真时间
我想得到现实世界的时间

只能使用DPI调用C/C++系统函数

把时间打印加入到脚本里边不是很好么,按说仿真应该关心的是仿真时间吧
如果需要加标签的话,运行脚本的时候把系统时间按照参数传入进来就好了吧。

比如在执行到某一步的时候打印当前的时间
就可以知道从开始到执行到这一步的耗时

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top