微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > io pad 上max fanout 、max capacitance违例需要修复吗?

io pad 上max fanout 、max capacitance违例需要修复吗?

时间:10-02 整理:3721RD 点击:
RT
在跑ICC的时候,出现在io pad上的max fanout、max capacitance违例老是无法修复、
刚刚看到一个帖子,说pad上的这些违例可以不用管
请问各位大大,出现在pad上的max fanout、max capacitance违例是不是不需要修复?

不需要修的吧我都没修过

会有出现违例吗?
而且fanout扇出是671,好大!

顶起,大牛们帮忙解答一下!

顶起,大牛们帮忙解答一下!

我一直做这个都是有max fanout 、max capacitance违规,但是都没有管。
后来也在坛子里问过,得到的答案大概就是端口的不要紧。
我也想知道更具体更深入的答案。小编知道了麻烦也分享一下。谢谢!

好的!

顶起

max_fanout太大的话要解决,否则dealy太大。
max_cap是前级驱动不足吗?还是sdc制约设的太大?

很大,都是在IO pad上的。我截取一部分
max_fanout
RequiredActual
NetFanoutFanoutSlack
-----------------------------------------------------------------
BYPASS_M[0]20.00671.00-651.00(VIOLATED)
BYPASS_M[1]20.00671.00-651.00(VIOLATED)
BYPASS_M[2]20.00671.00-651.00(VIOLATED)
BYPASS_M[3]20.00671.00-651.00(VIOLATED)
BYPASS_M[4]20.00671.00-651.00(VIOLATED)
BYPASS_M[5]20.00671.00-651.00(VIOLATED)
BYPASS_M[6]20.00671.00-651.00(VIOLATED)
max_capacitance
RequiredActual
NetCapacitanceCapacitanceSlack
-----------------------------------------------------------------
SDA1.2516.38-15.14(VIOLATED)
B_OUT[5]1.2512.02-10.77(VIOLATED)
CURRSTATE_SIST[0]1.2512.01-10.77(VIOLATED)
STREAMST[0]1.2512.01-10.77(VIOLATED)
B_OUT[0]1.2512.01-10.77(VIOLATED)
G_OUT[2]1.2512.01-10.77(VIOLATED)
G_OUT[4]1.2512.01-10.77(VIOLATED)
G_OUT[5]1.2512.01-10.77(VIOLATED)
B_OUT[1]1.2512.01-10.77(VIOLATED)
G_OUT[3]1.2512.01-10.77(VIOLATED)
G_OUT[1]1.2512.01-10.77(VIOLATED)
G_OUT[0]1.2512.01-10.77(VIOLATED)
STREAMST[1]1.2512.01-10.77(VIOLATED)
如果要修复fanout,是如何修呢?
您说的前级约束是指哪些约束呢?



另外,就只有这些违例,没有其他时序违例了!

搬起板凳听一下

Timing OK的话,fanout不用修了。
max_cap修不了,1.25是你sdc里面制约的吧

是啊,在DC综合的时候设置的!

这个值是在std cell 里面找的额。PR之后出来capacitance 也太大了

顶起!

求大大帮忙!

顶起!大牛解答一下!

一般设的set_max_fanout 20 [$current_design] 用来约束standar cell是,这些单元的驱动能力不是很大,所以fanout 的能力也不是很大,而输出PAD的驱动都是用的是功率管1~100mA的驱动能力,比内部cell的几十uA的驱动能打几十倍甚至几百倍,所以PAD 的fanout能力强,如果外部负载5~100PF的都能带动而且都在10ns 以下的transition时间,端口负载比较大最好模拟仿真,如果要对IO PAD最好单独设set_max_fanout.

那max_capacitance呢?

不需要修.. 因为set_max_fanout是参照的STD CELL library, IO library的驱动能力要打很多..

那max capacitance需要吗?

啊,有这么多爱学习IC设计的,真是好啊

有这么多分享帖真心不错

这个也太大了吧

遇到了跟你一样的问题哈。 不知道您解决了没有哇? 求解哈我的输出padDC并没有综合出来 很诡异

我也遇到你这个情况max_fanout的值跟你一样一样的在DC中就爆出来了。是671 而且是所有的输入都是671.而且我不加pad综合的话这些扇出就不存在。不知道为何 求大神解答啊

我遇到跟小编一样的情况,在DC综合后 IOpad的fanout就非常大。数值也是671.感觉跟小编的问题不谋而合。请问这种违例我该怎么应对呢。 DC工具目前无法修复了。 感觉没办法了。

围观学习

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top