微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于DFT的问题?

关于DFT的问题?

时间:10-02 整理:3721RD 点击:
在综合DFT时,对于TestMode这个type可以不要不 ?
我的想法是主时钟和scan clock为同一个时钟,scan_se为使能信号,scan_se=0为功能模式,scan_se=1为DFT模式
请问这样能实现吗 ?另外对于综合后DFT的 检测标准是什么呢?覆盖率除外。
谢谢!

不能用scan_se做为DFT模式选择!dft模式下面的测试项不止scan,比如还有mbist……
综合后的网表一般做一个dft的drc check(主要是针对scan)就可以了。

不是有at speed测试么,就是用func clock做scan clock啊,
大体上是可行的,

谢谢各位!此设计中只有DFT,没有mbist
所以就考虑不要TestMode。
再问下对于scan clock、scan_se是不是必须为IO,可不可以用内部的pin来代替!

大体可行,我们也是这么做的,function clock和scan clock大部分路径是一样的

如果只做scan测试感觉好像是可以的。
之前没有这么做过,请教两个个问题,麻烦帮忙解答一下:
寄存器se端是连接到scan_se上的吗?
stuck-at和transition测试capture时钟如何提供的?

寄存器se端是连接到scan_se上的,每个都要连上;
对于故障模型这个不是很懂,没有涉及到

stuck-at跟transition测试一个主要测固定fault另一个测时序方面的fault,主要区别在于capture时钟是低频的dft时钟还是at-speed的系统时钟。
如果se连接到scan_se上那shift时用dft时钟,capture时用at-speed的功能时钟,这种结构下面功能时钟是从端口直接给的吗?没有经过OCC吧?

做DFT的时候还要考虑功能时钟吗?好像不需要了吧!
你这么一说,感觉很多东西都不懂!

这种就是用 func clock来做测试, 和at speed类似
省一个clock input


小编可不可以具体讲下DFT工作时的时钟关系?也就是做DFT或则做PT时,哪些时钟需要,而哪些不需要

看模式啊,
set_case_analysis 选择mux的端啊,
各个模式下走各种时钟啊

要將你的func clk用做scan clk,除非你的func clk structure非常簡單,且易讓ATE控制.

Thanks...

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top