微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于双向PAD在encounter sdc constrain设置的问题

关于双向PAD在encounter sdc constrain设置的问题

时间:10-02 整理:3721RD 点击:

各位大侠:
我的设计在DC综合的时候,不包括IO PAD,综合过了之后,我手动将IO PAD的信息添加到综合生成的.v netlist中,修改综合生成的sdc文件(因为综合sdc中是对设计的pin做约束,我需要改成对IO PAD的约束,并且去掉set_units,set_wire_load_model)。之后用encounter做布局布线,版图大概6mmx6mm,postCTS发现setup有很大的in2reg和reg2out negative slack,最大的时间我看被Drive Adjustment占用了,如下图:






双向PAD的使用如下图:



PAD信号的constrain:
creat_clock [get_ports Pclk] -name Pclk -period 16.7 -waveform {0 8.35}
set_clock_uncertaninty -setup 1 [get_clocks Pclk]
set_clock_uncertaninty -hold 0.2 [get_clocks Pclk]
set_driving_cell -lib_cell BUFHDLX -library slow [get_ports PAD_S]
set_load -pin_load 6 [get_ports PAD_S]
set_input_delay -clock Pclk -max 1 [get_ports PAD_S]
set_input_delay -clock Pclk -min 0.5 [get_ports PAD_S]
set_output_delay -clock Pclk -max 1 [get_ports PAD_S]
set_output_delay -clock Pclk -min 0.5 [get_ports PAD_S]
请问这样对双向PAD设置对吗?还是要对PAD的C和I进行约束?
谢谢!

坐等大侠!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top