微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > set_operating_conditions的设置问题!

set_operating_conditions的设置问题!

时间:10-02 整理:3721RD 点击:
我现在的库里面D_cell的lib和IO的lib里面的operating_conditions名字不一样;
但是好像又不能设置两个operating_conditions 怎么办呢?

用std.cell库的

IO库里面也分slow lib,fast lib,typical lib;
这个怎么处理?谢谢

你是做什么啊不能设置两个operating_conditions,什么工具?

PT,我也试着用两个但是会出错
set_operating_conditions { condition1 condition2}这样会报错
工具会把condition1 condition2当做一个整体

但是我看ug上面说condition这个参数是list类型的,应该是可以识别多个condition的呀!
我上面写工具怎么会不认识?

每个pt对应一个op_cond吧,需要多个pt来分析。

set_operating_conditions 先设一个全局的
然后set_operating_conditions -object_list 单独设置IO的

set_operating_conditions
1.7版本:
[-analysis_type bc_wc | on_chip_variation]
2.0版本:
[-analysis_typeanalysis_type]
这个所指的是什么啊!求问

ocv模式,就设一个好了, 讲不清

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top