微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 请教关于 set_operating_conditions -library

请教关于 set_operating_conditions -library

时间:10-02 整理:3721RD 点击:

set_operating_conditions -analysis_type on_chip_variation \
-library ua11lschs12bdr_108c125_wc ua11lschs12bdr_108c125_wc

-library 后面的是什么意思?

ua11lschs12bdr_108c125_wc这个是一个library的名字 但是我不懂为什么要写2次

应该第一个是library名,第二个operating condition 的名字。这两个取了同样的名字,就这样了。

哦哦 介个样子啊 3Q 3Q

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top