微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于dc做set_operating_conditions的问题

关于dc做set_operating_conditions的问题

时间:10-02 整理:3721RD 点击:
一个设计,有std,io和eeprom的ip
每个对应的max_db名字为:
stdmax.db,iomax.db,eemax.db。
这些db库里面,各自对应的operating_condition的名字为stdmax,iomax,eemax。
在dc的时候我加了这样的约束:
set_operating_conditions -analysis_type on_chip_variation -library stdmax.db ‘stdmax’;
在link_library里面已经将所有的db都加进去了。
问题:
dc在分析的时候,对io和ip采取的operating_condition是默认的自己的iomax和eemax么,还是dc在分析io和ee的时候只处理db中提到的边界条件,但是不做timing上的处理?

综合的时候设标准单元库的condition就行了貌似

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top