微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > set_operating_conditions

set_operating_conditions

时间:10-02 整理:3721RD 点击:
set_operating_conditions -analysis_type on_chip_variation \
-max slow -min slow

set_operating_conditions -analysis_type bc_wc \
-max slow -min slow
的静态时序的结果是一样的吗?

[img][/img]

从这上面来看BC_WC和OCV只是分析路径所用的operating condition不一样,在设置的时候把min和max条件设为一样,分析的结果就一样了吗?

bc_wc中的maximum delay与OCV中的maximum delay计算方法不一样

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top