微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > 关于DC中的i/o pad例化

关于DC中的i/o pad例化

时间:10-02 整理:3721RD 点击:
用的smic .13的工艺,在verilog中例化了pad后再综合结果setup slack变成了-130,如果不加pad都可以跑过~是不是哪里设置错了,求指导啊!

1. Pad 有库文件信息吗?
2. 如果Pad没有库文件信息,逻辑和pad之间的约束设了吗?

回复的晚了,是出现了false_path,用了set_disable_timing把false_path过滤了就好了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top