微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > VCS 后仿 怎么反标sdf文件

VCS 后仿 怎么反标sdf文件

时间:10-02 整理:3721RD 点击:
在APR后得到的sdf文件怎么反标到tb.v上,请教详细的指令

在testbench顶层调用$sdf_annotate可以实现延迟反标。

二楼说得有道理

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top