微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > set_input_delay中两个选项不太理解

set_input_delay中两个选项不太理解

时间:10-02 整理:3721RD 点击:
在set_input_delay中有两个选项:-source_latency_included 和 -network_latency_included,如下图所示,不知道我列出的表达式是否正确:set_input_delay -max [expr 0.6 + 0.18] -clock Clk \
–source_latency_included [all_inputs]
set_input_delay -max [expr 0.6 - 0.12] -clock Clk \
–network_latency_included [all_inputs]

set_output_delay -max [expr 0.8 – 0.07] -clock Clk \
–network_latency_included [all_outputs]
set_output_delay -max [expr 0.8 + 0.3] -clock Clk \
–source_latency_included [all_outputs]
不知道这样对不对?


几乎没用,就是include clock tree latency的, 一般不用
因为后端开始以前,你一般不知道tree多长

感谢icfb小编的大力支持,又查看了一些资料,大致理解什么意思了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top