微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC后端设计交流 > DC综合过程中所遇见的问题

DC综合过程中所遇见的问题

时间:10-02 整理:3721RD 点击:

小编大大和各位DC达人好,是这样的,我现在有一个工程,是在ISE里编辑的,其中包括一些库文件,
library ieee;use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- pragma translate_off
use std.textio.all;
-- pragma translate_on
library grlib;
use grlib.config_types.all;
use grlib.config.all;
use grlib.stdlib.all;
就是类似grlib这种的,附件里有图片,我直接把这些库中的VHDL文件和工程文件一起读进去,工程文件可以正常读入,但是这些库的VHD文件读进去之后会报错,提示找不到库以及用type定义的类型也不认识,
33: library grlib;
^^^^^^
[Warning] Library GRLIB not found
-------------------
34: use grlib.config_types.all;
^^^^^^^^^^^^^
[Error] CONFIG_TYPES is not a primary unit of library GRLIB
-------------------
35: use grlib.config.all;
^^^^^^^
[Error] CONFIG is not a primary unit of library GRLIB
-------------------
36: use grlib.stdlib.all;
^^^^^^^
[Error] STDLIB is not a primary unit of library GRLIB
Compiling Package Declaration AMBA

38:constant VENDOR_RESERVED: amba_vendor_type := 16#00#;-- Do not use!
^^^^^^^^^^^^^^^^
[Error] Name AMBA_VENDOR_TYPE is unknown
-------------------
39:constant VENDOR_GAISLER: amba_vendor_type := 16#01#;
^^^^^^^^^^^^^^^^
[Error] Name AMBA_VENDOR_TYPE is unknown
-------------------
40:constant VENDOR_PENDER: amba_vendor_type := 16#02#;
^^^^^^^^^^^^^^^^
[Error] Name AMBA_VENDOR_TYPE is unknown

像grlib这种库我不知道怎么在DC中定义,还有个问题就是DC好像对VHDL中的‘1’和‘0’描述不认识,麻烦小编帮忙看看,谢谢!

来人啊,麻烦各位大大帮我看看

你是用vhdl的方式读入的?

按组创建library

你好,能说的详细些么?怎么按组创建library

是啊,读VHDL的方式没有问题,问题就是VHDL中调用了其他的VHDL文件,自定义的库DC不能识别

你好,你这问题解决了吗?我也遇到你这问题。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top